Fixed the leading-zeroes rule definition

This commit is contained in:
Gregory Ballantine 2018-04-11 10:44:49 -04:00
parent 347f599f32
commit befe9c10ac

View File

@ -15,7 +15,7 @@ rules:
leading-zero:
- 1
-
convention: true
include: true
mixins-before-declarations:
- 2
-